freebsd-ports/cad
Fernando Apesteguía 71f67cb878 cad/openvsp: update to 3.38.0
ChangeLog:
https://openvsp.org/blogs/announcements/2024/04/17/openvsp-3-38-0-released

Features:

 * Show Only, Show, NoShow buttons now recurse like everything else.
 * User adjustable number of Sets
 * Measure works with non-surface Geoms (blank and hinge)
 * Unique variable names enforced when renaming advanced link vars
 * Re-worded variable renaming buttons
 * Output multi-line error messages when links are broken
 * Bigger filename at screen bottom
 * Filename in main title bar
 * Integrated flowpath modeling extension now extends to constant X
 * Integrated flowpath modeling extension distance auto determined
 * Height/width/area/aspect sliders for CEdit XSecCurve now displayed
 * Better patch names for unintersected STEP/IGES surface export
 * TE surface merging for STEP/IGES export

Library Updates:

 * Update to latest FLTK dev as they approach 1.4.0

Bug Fixes:

 * Fix invisible subsurfaces
 * Fix XSecSurf highlights that won’t go away
 * Expand ranges for AR and Span – should fix some odd wings seen in Group
 * Fix some odd scattered indexing bugs
 * Fix some problems with CFDMesh sourcing
2024-04-20 19:23:37 +02:00
..
abc
admesh cad/admesh: Moved man to share/man 2024-02-22 14:23:50 +01:00
adms
alliance MANPREFIX: eleminate its usage and move man to share/man 2024-01-08 17:30:29 +01:00
antimony
apio cad/apio: New port: Open source ecosystem for open FPGA boards 2024-01-06 00:06:40 -08:00
appcsxcad cad/appcsxcad: update 0.2.2-9 → 0.2.3 2023-10-23 20:10:13 -07:00
archimedes
astk-client
astk-serveur */*: Sunset 12.4-RELEASE/12-STABLE from ports tree 2023-12-31 01:37:05 +01:00
atlc cad/atlc: Sanitize MANPREFIX 2024-01-21 16:26:54 +01:00
brlcad cad/brlcad: Sanitize MANPREFIX 2024-01-21 02:01:06 +01:00
calculix */*: Sunset 12.4-RELEASE/12-STABLE from ports tree 2023-12-31 01:37:05 +01:00
calculix-ccx */*: chase the upgrade of BLAS / LAPACK to 3.12.0 2024-01-01 12:49:51 +01:00
camotics devel/re2: Update to 20230801 2023-09-11 11:22:48 +08:00
caneda cad/caneda: Bump portrevision after qwt6 update 2024-02-22 19:09:52 +01:00
cascade MANPREFIX: eliminate its usage 2024-01-12 16:11:29 +01:00
cascade-compiler
chipvault */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-01 23:11:47 +01:00
csxcad devel/boost: bump consumers after library update 2024-02-13 17:44:22 +03:00
cura all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
cura-engine devel/protobuf: Update to 24.4 2023-12-15 01:03:10 +08:00
cvc cad/cvc: Update 1.1.4 → 1.1.5 2023-05-10 07:33:01 -07:00
digital */*: properly depend on Maven package 2024-03-11 10:09:45 +01:00
dinotrace Chase editors/emacs update 2024-03-26 19:51:47 -03:00
ecpprog
electric cad/electric: Fix build with llvm16 2023-07-26 17:04:03 +02:00
electric-ng
fasm
fdm_materials
feappv *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
fidocadj
freecad devel/onetbb: Update to 2021.12.0 2024-04-17 13:28:34 +02:00
freehdl cad/freehdl: Moved man to share/man 2024-02-22 14:23:51 +01:00
fritzing cad/fritzing: Moved man to share/man 2024-02-22 14:23:52 +01:00
gds3d cad/gds3d: Fix build breakaga on 14 due to the 'byte' symbol collision 2023-07-18 07:21:39 -07:00
gdscpp cad/gdscpp: New port: C++ library to create and read GDSII file 2023-03-02 15:19:12 -08:00
gdsreader cad/gdsreader: Sanitize MANPREFIX 2024-01-21 18:53:27 +01:00
gdstk cad/gdstk: update 0.9.49 → 0.9.50 2024-03-08 14:34:42 -08:00
gdt
geda cad/geda: Move man pages to share/man 2024-03-02 23:32:29 +00:00
gerbv cad/gerbv: Move man to share/man 2024-02-26 20:26:59 +01:00
ghdl cad/ghdl: Broken on arm64 2024-04-05 02:15:35 -07:00
gmsh cad/gmsh: support of OpenCascade 7.8.0 2024-03-21 19:01:19 +01:00
gnucap
gplcver
graywolf *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
gspiceui MANPREFIX: eliminate its usage 2024-01-12 16:11:29 +01:00
gtkwave */*: Remove GNU_CONFIGURE_MANPREFIX after move man pages to share/man 2024-04-14 10:41:16 +01:00
horizon-eda cad/horizon-eda: fix with OpenCascade 7.8.0 2024-03-21 19:01:18 +01:00
hs-verismith Haskell ports: Fix dependency on GHC 9.2 after its upgrade. 2023-06-07 22:01:45 +03:00
ifcopenshell all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
impact
irsim cad/irsim: Moved man to share/man 2024-02-22 14:23:53 +01:00
iverilog cad/iverilog: Move man pages to share/man 2024-03-02 23:32:29 +00:00
k40-whisperer all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
kicad cad/kicad: support of OpenCascade 7.8.0 2024-03-21 19:01:18 +01:00
kicad-devel cad/kicad-devel: Update to the current commits 2024-04-04 11:27:58 +02:00
kicad-doc audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-footprints audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-footprints-devel cad/kicad-devel: Update to the current commits 2024-04-04 11:27:58 +02:00
kicad-library-packages3d audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-packages3d-devel cad/kicad-devel: Update to the current commits 2024-04-04 11:27:58 +02:00
kicad-library-symbols audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-symbols-devel cad/kicad-devel: Update to the current commits 2024-04-04 11:27:58 +02:00
kicad-library-templates audio/opus: bump consumers after update to 1.4 2023-04-25 17:17:15 +02:00
kicad-library-templates-devel cad/kicad-devel: Update to the current commits 2024-04-04 11:27:58 +02:00
klayout */*: Sunset 12.4-RELEASE/12-STABLE from ports tree 2023-12-31 01:37:05 +01:00
ktechlab devel/kf5-extra-cmake-modules: add as build dependency 2023-08-15 23:17:14 +02:00
ldraw
ldview cad/ldview: Move man pages to share/man 2024-03-22 16:29:47 +09:00
leocad cad/leocad: Move man pages to share/man 2024-03-02 23:32:29 +00:00
lepton-eda cad/lepton-eda: Moved man to share/man 2024-02-22 14:23:54 +01:00
libbgcode cad/libbgcode: use short hash and cmake macros 2024-03-29 18:41:31 +03:00
libgdsii
libopencad cad/libopencad: fix build on armv? / powerpc 2023-03-13 00:02:41 +00:00
librecad cad/librecad: Update to 2.2.0.2 2023-07-30 16:15:29 -04:00
libredwg Convert more of my ports to new manual page location (part two). 2024-01-23 11:32:28 +00:00
librepcb cad/librepcb: add support of OpenCascade 2024-03-21 19:01:18 +01:00
librnd cad/librnd: use a cleaner way to unbreak the build on recent -CURRENT 2023-09-06 14:55:20 +00:00
logisim
magic cad/magic: Remove unintended parts of the patch 2024-01-23 19:32:15 -08:00
meshdev */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-01 23:11:47 +01:00
meshlab cad/meshlab: Fix build with llvm16 2023-07-21 17:41:46 +02:00
netgen cad/netgen: Update to 6.2.2402. 2024-03-06 21:39:46 -06:00
netgen-lvs cad/netgen-lvs: update 1.5.272 → 1.5.273 2024-04-05 02:15:34 -07:00
ngspice_rework cad/ngspice_rework: Move man pages to share/man 2024-03-02 23:32:29 +00:00
nvc cad/nvc: update 1.11.3 → 1.12.0 2024-04-09 00:40:58 -07:00
opencascade devel/onetbb: Update to 2021.12.0 2024-04-17 13:28:34 +02:00
opencascade740 */*: Bump all users of multimedia/ffmpeg* 2023-04-27 14:01:46 +02:00
openctm cad/openctm: Fix man path 2024-03-02 10:34:08 -05:00
openfpgaloader cad/openfpgaloader: update 0.12.0 → 0.12.1 2024-03-24 22:00:39 -07:00
openroad cad/openroad: update 2.0-2930 → 2.0-11595 2023-12-28 20:48:01 -08:00
openscad devel/boost: bump consumers after library update 2024-02-13 17:44:22 +03:00
openscad-devel *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
opentimer cad/opentimer: Remove the USE_GCC commented out line 2023-09-18 22:26:46 -07:00
openvsp cad/openvsp: update to 3.38.0 2024-04-20 19:23:37 +02:00
oregano cad/oregano: remove useless patch and leave manpages in share/man 2024-01-12 14:00:45 +01:00
p5-GDS2 cad/p5-GDS2: Fix build 2024-01-15 18:06:06 +01:00
p5-Verilog-Perl
padring
pcb cad/pcb: Move man pages to share/man 2024-03-02 23:44:56 +00:00
pcb-rnd cad/pcb-rnd: chase commit 512d100d03 (cad/librnd) 2023-09-07 03:27:46 +00:00
pdnmesh cad/pdnmesh: Moved man to share/man 2024-02-22 14:23:55 +01:00
PrusaSlicer devel/onetbb: Update to 2021.12.0 2024-04-17 13:28:34 +02:00
py-amaranth cad/py-amaranth: update 0.4.4 → 0.4.5 2024-04-05 02:15:37 -07:00
py-cocotb cad/py-cocotb: update 1.8.0 → 1.8.1 2023-10-09 21:13:54 -07:00
py-edalize cad/py-edalize: update 0.5.3 → 0.5.4 2024-04-05 02:15:37 -07:00
py-ezdxf cad/py-ezdxf: Add NO_ARCH 2024-03-10 03:10:02 +08:00
py-gdspy all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-gdstk cad/py-gdstk: update 0.9.50 → 0.9.51 2024-04-17 23:02:44 -07:00
py-gmsh cad/py-gmsh: update 4.11.1 → 4.13.0 2024-03-09 23:35:53 -08:00
py-lcapy all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-phidl all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-pyfda Revert "cad/py-pyfda: Mark DEPRECATED" 2023-10-29 16:37:05 -04:00
py-pygmsh all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
py-pymtl cad/py-pymtl: update 3.1.15 → 3.1.16 2023-11-03 20:09:16 -07:00
py-pyvcd devel/py-setuptools-scm: update to 8.0.4 2024-02-29 02:18:32 -05:00
py-vunit-hdl devel/py-setuptools-scm: update to 8.0.4 2024-02-29 02:18:32 -05:00
python-gdsii all: remove explicit versions in USES=python for "3.x+" 2023-06-27 21:34:34 +02:00
qcad cad/qcad: Exclude i386 build 2024-04-04 11:19:01 +02:00
qcsxcad cad/qcsxcad: update 0.6.2-9 → 0.6.3 2023-10-23 20:10:13 -07:00
qelectrotech cad/qelectrotech: Move man pages to share/man 2024-03-22 16:29:47 +09:00
qflow cad/qflow: update 1.4.100 → 1.4.102 2024-03-08 14:34:43 -08:00
qmls
qrouter cad/qrouter: update 1.4.86 → 1.4.87 2023-11-05 15:07:53 -08:00
qspeakers cad/qspeakers: Update to upstream release 1.6.8 2023-07-14 15:21:54 +02:00
qucs-s cad/qucs-s: update 2.1.0 → 24.1.0 2024-02-19 10:52:26 -08:00
qucsator
repsnapper accessibility/at-spi2-core: update to 2.46.0 2023-03-02 06:23:10 +01:00
rubygem-gdsii
scotch */*: bump PORTREVISION after the upgrade of MPICH to 4.1.2 2023-12-10 11:23:11 +01:00
silice *: Bump PORTREVISIONs for math/mpc update to 1.3.1 2023-04-23 09:09:58 +00:00
solvespace cad/solvespace: Fix build with CMake >= 3.28.0 2023-12-28 18:03:31 -05:00
sp2sp */*: Update WWW to use HTTPS for sourceforge.net projects 2023-11-06 11:03:47 +01:00
spice cad/spice: Move man pages to share/man 2024-03-02 23:54:43 +00:00
stepcode */*: Sunset 12.4-RELEASE/12-STABLE from ports tree 2023-12-31 01:37:05 +01:00
stm32flash
sumo graphics/proj: Update to 9.4.0 2024-04-15 06:44:23 +02:00
surelog cad/surelog: update 1.81 → 1.82 2023-12-10 15:58:30 -08:00
svlint lang/rust: Bump revisions after 1.77.0 2024-03-23 10:41:46 +01:00
svls lang/rust: Bump revisions after 1.77.0 2024-03-23 10:41:46 +01:00
sweethome3d */*: convert to cmake:indirect 2024-04-11 12:16:53 +03:00
symbiyosys cad/symbiyosys: update 0.39 → 0.40 2024-04-11 01:17:20 -07:00
tkgate cad/tkgate: Moved man to share/man 2024-02-22 14:23:56 +01:00
tochnog */*: chase the upgrade of BLAS / LAPACK to 3.12.0 2024-01-01 12:49:51 +01:00
uhdm cad/uhdm: update 1.81 → 1.82 2023-12-10 15:58:29 -08:00
uranium *: Replace USES=pycryptography* with USE_PYTHON=cryptography{,_build,_test} 2023-09-29 22:19:56 +08:00
verilator cad/verilator: update 5.022 → 5.024 2024-04-06 07:54:51 -07:00
verilog-mode.el Chase editors/emacs update 2024-03-26 19:51:47 -03:00
veroroute cad/veroroute: update 2.38 → 2.39 2024-04-17 00:38:22 -07:00
veryl cad/veryl: update 0.8.2 → 0.9.0 2024-04-17 23:02:43 -07:00
xcircuit cad/xcircuit: Move man pages to share/man 2024-03-03 00:05:21 +00:00
xyce science/trilinos: update 13-4-1 → 15-1-0 2024-03-06 02:32:59 -08:00
yosys cad/yosys: update 0.39 → 0.40 2024-04-11 01:17:20 -07:00
yosys-ghdl-plugin cad/yosys-ghdl-plugin: Fix breakage due to ghdl version bump 2024-03-19 15:18:22 +01:00
yosys-systemverilog cad/yosys-systemverilog: Broken 2023-07-28 23:39:15 -07:00
z88 */*: Sunset 12.4-RELEASE/12-STABLE from ports tree 2023-12-31 01:37:05 +01:00
zcad */*: Bump PORTREVISION. lazarus was updated to 3.2.0 2024-03-23 23:36:08 -05:00
Makefile cad/libbgcode: new port 2024-03-28 22:31:42 +03:00